Insight Investment are looking for a Cyber Defence Specialist to join our Cyber Security team. This role will maintain the Sentinel Threat Detection capability for Insight's bespoke application. The successful candidate will maintain and optimise the Microsoft Sentinel platform, ensuring its stability, performance, and integration with other security tools, as well as developing use cases for the SOC team. Alongside this, the candidate will support the 24/7 SOC team, providing incident response, and continuously improving detection and automation capabilities. Role Responsibilities Maintain and optimise the Microsoft Sentinel environment, including configuration, performance tuning, and health monitoring. Onboard new data sources, connectors, and log streams to support evolving security requirements. Develop and enhance analytics rules, workbooks, and playbooks to improve detection and response. Monitor security alerts alongside the 24/7 SOC team, providing advanced analysis and escalation support. Troubleshoot and resolve issues related to Sentinel ingestion, queries, and automation workflows. Collaborate with SOC analysts, application and development teams, security engineers and security architects to ensure effective threat detection and incident response. Document configurations, processes, and improvements for operational continuity. Experience Required Experience developing, testing and implementing use cases/detection rules for the Sentinel SIEM environment. Strong hands on experience with Microsoft Sentinel and related Azure security services. Proficiency in Kusto Query Language (KQL) for creating and tuning detection rules and dashboards. Solid understanding of SIEM concepts, log ingestion, and security monitoring practices. Experience with incident response workflows and SOC operations. Ability to work collaboratively with development, engineering and monitoring teams. Excellent problem solving and communication skills. Advantageous Experience with SOAR automation and playbook development. Familiarity with cloud security monitoring across Azure, AWS, or GCP. Knowledge of MITRE ATT&CK framework and threat detection methodologies. Exposure to compliance driven environments and security best practices. Relevant certifications (e.g., SC-200, AZ-500, Security+). About Insight Investment Insight Investment is a leading asset manager focused on designing investment solutions to meet its clients' needs. Founded in 2002, Insight's collaborative approach has delivered both investment performance and growth in assets under management. Insight manages assets across its core liability driven investment, risk management, full spectre fixed income, currency and absolute return capabilities. Insight has a global network of operations in the UK, Ireland, Germany, US, Japan and Australia. More information about Insight Investment can be found at:
Dec 07, 2025
Full time
Insight Investment are looking for a Cyber Defence Specialist to join our Cyber Security team. This role will maintain the Sentinel Threat Detection capability for Insight's bespoke application. The successful candidate will maintain and optimise the Microsoft Sentinel platform, ensuring its stability, performance, and integration with other security tools, as well as developing use cases for the SOC team. Alongside this, the candidate will support the 24/7 SOC team, providing incident response, and continuously improving detection and automation capabilities. Role Responsibilities Maintain and optimise the Microsoft Sentinel environment, including configuration, performance tuning, and health monitoring. Onboard new data sources, connectors, and log streams to support evolving security requirements. Develop and enhance analytics rules, workbooks, and playbooks to improve detection and response. Monitor security alerts alongside the 24/7 SOC team, providing advanced analysis and escalation support. Troubleshoot and resolve issues related to Sentinel ingestion, queries, and automation workflows. Collaborate with SOC analysts, application and development teams, security engineers and security architects to ensure effective threat detection and incident response. Document configurations, processes, and improvements for operational continuity. Experience Required Experience developing, testing and implementing use cases/detection rules for the Sentinel SIEM environment. Strong hands on experience with Microsoft Sentinel and related Azure security services. Proficiency in Kusto Query Language (KQL) for creating and tuning detection rules and dashboards. Solid understanding of SIEM concepts, log ingestion, and security monitoring practices. Experience with incident response workflows and SOC operations. Ability to work collaboratively with development, engineering and monitoring teams. Excellent problem solving and communication skills. Advantageous Experience with SOAR automation and playbook development. Familiarity with cloud security monitoring across Azure, AWS, or GCP. Knowledge of MITRE ATT&CK framework and threat detection methodologies. Exposure to compliance driven environments and security best practices. Relevant certifications (e.g., SC-200, AZ-500, Security+). About Insight Investment Insight Investment is a leading asset manager focused on designing investment solutions to meet its clients' needs. Founded in 2002, Insight's collaborative approach has delivered both investment performance and growth in assets under management. Insight manages assets across its core liability driven investment, risk management, full spectre fixed income, currency and absolute return capabilities. Insight has a global network of operations in the UK, Ireland, Germany, US, Japan and Australia. More information about Insight Investment can be found at:
Job title: Speciality Robotic Operator Location: Royston World-changing careers, enabled by Johnson Matthey. With more than 200 years history, join us and help to accelerate the transition to net-zero! As the Specialty Robotic Operator you'll contribute to JM's mission as a world leader in sustainable technology, transforming energy and reducing carbon emissions for a cleaner, brighter future. We are seeking a Speciality Robotic Operator to join our PGM business. The ideal candidate will have experience with ABB robots and ideally experience in spraying and coating applications The role requires you to operate programme, troubleshoot, and maintain industrial robots for manufacturing automation. Key responsibilities include developing and optimizing robot programs using ABB's native languages like RAPID , integrating robots with other systems, and using simulation software like RobotStudio to test and validate programs before implementation. You also handle tasks such as configuring robot inputs/outputs, creating robot paths, and providing technical support and training to other staff. As the job title, you will help drive our goals by: Robot programming: Write, develop, and optimize programs for ABB robots using native languages (e.g., RAPID) to perform coating applications. System integration: Integrate robot systems with other manufacturing equipment, such as PLCs, HMIs, and vision systems. Configuration: Configure robot I/O, set up program structures, and define robot paths, tool centre points (TCPs), and work objects. Troubleshooting and maintenance: Diagnose and resolve issues with robot systems to minimize downtime and ensure efficient production. Simulation and validation: Use simulation software (e.g. RobotStudio) to test and validate robot programs, verify process feasibility, and optimize cycle times before physical deployment. Technical support and training: Provide training and support to operators and other programmers and help maintain robot systems. Key skills that will help you succeed in this role: Safety: Understanding of safety documentation, RA's, SOP's and lockout/tagout procedures for energy sources. Technical expertise: Proficiency in programming industrial robots, with specific knowledge of ABB systems, languages (like RAPID), and control systems. Software skills: Experience with simulation software like RobotStudio is desirable. Problem-solving: Strong analytical and troubleshooting skills to address robotic problems and optimize performance. Collaboration: Ability to work closely with engineers, production staff, and other teams to meet production requirements. Proven experience in programming and operating robots. Even if you only match some of the skills, we'd love to hear from you to discuss further! What we offer: We make sure salaries are fair, competitive and aligned to individual roles, experience and responsibilities. We are also supportive of hybrid and flexible working and where applicable, offer life, medical and other benefits that support our employees' financial and physical wellbeing, such as: Retirement savings Share plans Saving accounts Life assurance and income protection Medical plans / health assessments Fitness discounts Ready to make a meaningful impact on your career and the environment? Join us and help shape a sustainable future while advancing your career! At JM, inclusivity is central to our values. We create an environment where everyone can thrive, embracing diverse perspectives to tackle challenges and ensure all colleagues feel valued and connected. For any queries or accessibility requirements, please contact (url removed). We will work with you to make suitable adjustments at any stage of the recruitment process. All conversations are confidential, and your feedback is welcome to help us provide an accessible and positive recruitment experience. Closing date for applications: This job advertisement will be posted for a minimum of 2 weeks, early application is advised. To submit your application, please click the "Apply" button online. All applications are carefully considered and your details will be stored on our secure Application Management System. This is used throughout Johnson Matthey for the selection of suitable candidates for our vacancies as they arise.Johnson Matthey respects your privacy and is committed to protecting your personal information. For more information about how your personal data is used please view our privacy notice: Johnson Matthey Privacy Notice . By applying for this role and creating an account you are agreeing to the notice. Johnson Matthey Plc is an equal opportunities employer and positively encourages applications from suitably qualified and eligible candidates regardless of sex, race, disability, age, sexual orientation, marriage or civil partnership, pregnancy or maternity, religion or belief.
Dec 06, 2025
Full time
Job title: Speciality Robotic Operator Location: Royston World-changing careers, enabled by Johnson Matthey. With more than 200 years history, join us and help to accelerate the transition to net-zero! As the Specialty Robotic Operator you'll contribute to JM's mission as a world leader in sustainable technology, transforming energy and reducing carbon emissions for a cleaner, brighter future. We are seeking a Speciality Robotic Operator to join our PGM business. The ideal candidate will have experience with ABB robots and ideally experience in spraying and coating applications The role requires you to operate programme, troubleshoot, and maintain industrial robots for manufacturing automation. Key responsibilities include developing and optimizing robot programs using ABB's native languages like RAPID , integrating robots with other systems, and using simulation software like RobotStudio to test and validate programs before implementation. You also handle tasks such as configuring robot inputs/outputs, creating robot paths, and providing technical support and training to other staff. As the job title, you will help drive our goals by: Robot programming: Write, develop, and optimize programs for ABB robots using native languages (e.g., RAPID) to perform coating applications. System integration: Integrate robot systems with other manufacturing equipment, such as PLCs, HMIs, and vision systems. Configuration: Configure robot I/O, set up program structures, and define robot paths, tool centre points (TCPs), and work objects. Troubleshooting and maintenance: Diagnose and resolve issues with robot systems to minimize downtime and ensure efficient production. Simulation and validation: Use simulation software (e.g. RobotStudio) to test and validate robot programs, verify process feasibility, and optimize cycle times before physical deployment. Technical support and training: Provide training and support to operators and other programmers and help maintain robot systems. Key skills that will help you succeed in this role: Safety: Understanding of safety documentation, RA's, SOP's and lockout/tagout procedures for energy sources. Technical expertise: Proficiency in programming industrial robots, with specific knowledge of ABB systems, languages (like RAPID), and control systems. Software skills: Experience with simulation software like RobotStudio is desirable. Problem-solving: Strong analytical and troubleshooting skills to address robotic problems and optimize performance. Collaboration: Ability to work closely with engineers, production staff, and other teams to meet production requirements. Proven experience in programming and operating robots. Even if you only match some of the skills, we'd love to hear from you to discuss further! What we offer: We make sure salaries are fair, competitive and aligned to individual roles, experience and responsibilities. We are also supportive of hybrid and flexible working and where applicable, offer life, medical and other benefits that support our employees' financial and physical wellbeing, such as: Retirement savings Share plans Saving accounts Life assurance and income protection Medical plans / health assessments Fitness discounts Ready to make a meaningful impact on your career and the environment? Join us and help shape a sustainable future while advancing your career! At JM, inclusivity is central to our values. We create an environment where everyone can thrive, embracing diverse perspectives to tackle challenges and ensure all colleagues feel valued and connected. For any queries or accessibility requirements, please contact (url removed). We will work with you to make suitable adjustments at any stage of the recruitment process. All conversations are confidential, and your feedback is welcome to help us provide an accessible and positive recruitment experience. Closing date for applications: This job advertisement will be posted for a minimum of 2 weeks, early application is advised. To submit your application, please click the "Apply" button online. All applications are carefully considered and your details will be stored on our secure Application Management System. This is used throughout Johnson Matthey for the selection of suitable candidates for our vacancies as they arise.Johnson Matthey respects your privacy and is committed to protecting your personal information. For more information about how your personal data is used please view our privacy notice: Johnson Matthey Privacy Notice . By applying for this role and creating an account you are agreeing to the notice. Johnson Matthey Plc is an equal opportunities employer and positively encourages applications from suitably qualified and eligible candidates regardless of sex, race, disability, age, sexual orientation, marriage or civil partnership, pregnancy or maternity, religion or belief.
As the software/PLC Programmer you will be responsible for developing and maintaining high-quality PLC-based control and monitoring software to support industrial and energy systems. The role ensures programmed solutions are reliable, safe and delivered inline with technical specifications, engineering standards, and customer requirements. Working closely with the engineering and control team, you will support the full life cycle of control systems, including design, testing, commissioning and operational troubleshooting whilst maintaining robust documentation and configuration control. Responsibilities: Design, develop, enhance, and test PLC-based control applications using CODESYS (IEC 61131-3 languages: ST, FBD, SFC, LD). Implement and debug logic for real-time systems, control panels, and industrial automation networks. Translate functional specifications into detailed, maintainable, and scalable program logic with an emphasis on safety. Integrate third-party hardware and protocols (e.g., Modbus TCP/RTU, CANopen, OPC UA, Ethernet/IP). Support Factory Acceptance Testing (FAT), Sit Acceptance Testing (SAT), and commissioning activities as required, Maintain accurate technical documentation, version control, and configuration backups in accordance with internal quality processes. Diagnose and resolve issues in live environments, supporting both local and remote troubleshooting. Collaborate with the wider team to deliver best-in-class monitoring solutions. You: Qualifications Appropriate Engineering qualification Experience Essential: 2+ years' experience with CODESYS or similar platforms (e.g., Siemens TIA Portal, Beckhoff TwinCAT, WAGO e!COCKPIT). Strong knowledge of IEC 61131-3 programming languages, especially Structured Text (ST). Proven experience developing logic for industrial automation or energy control systems. Familiarity with control system design principles (signal conditioning, interlocks, safety systems). Ability to read and interpret electrical schematics and P&IDs. Skilled in testing and debugging both simulated and live systems. Desirable: Experience with BESS, HVAC controls, or renewable energy systems. Knowledge of networking, routers, firewalls, and industrial communication protocols. Exposure to visualization/HMI platforms (e.g., CODESYS Visualization, Ignition, Wonderware). Experience with Linux-based embedded systems or RTOS. Hands-on experience with WAGO PLCs and edge computers. Knowledge of cloud computing and visualisation tools (e.g., Grafana) Please apply for immedite consideration At Adept Resourcing - Commercial & Engineering, we specialise in connecting companies with top talent that drives innovation, growth and success. With our industry expertise, extensive network and personalised approach, we're here to help you. JBRP1_UKTJ
Dec 06, 2025
Full time
As the software/PLC Programmer you will be responsible for developing and maintaining high-quality PLC-based control and monitoring software to support industrial and energy systems. The role ensures programmed solutions are reliable, safe and delivered inline with technical specifications, engineering standards, and customer requirements. Working closely with the engineering and control team, you will support the full life cycle of control systems, including design, testing, commissioning and operational troubleshooting whilst maintaining robust documentation and configuration control. Responsibilities: Design, develop, enhance, and test PLC-based control applications using CODESYS (IEC 61131-3 languages: ST, FBD, SFC, LD). Implement and debug logic for real-time systems, control panels, and industrial automation networks. Translate functional specifications into detailed, maintainable, and scalable program logic with an emphasis on safety. Integrate third-party hardware and protocols (e.g., Modbus TCP/RTU, CANopen, OPC UA, Ethernet/IP). Support Factory Acceptance Testing (FAT), Sit Acceptance Testing (SAT), and commissioning activities as required, Maintain accurate technical documentation, version control, and configuration backups in accordance with internal quality processes. Diagnose and resolve issues in live environments, supporting both local and remote troubleshooting. Collaborate with the wider team to deliver best-in-class monitoring solutions. You: Qualifications Appropriate Engineering qualification Experience Essential: 2+ years' experience with CODESYS or similar platforms (e.g., Siemens TIA Portal, Beckhoff TwinCAT, WAGO e!COCKPIT). Strong knowledge of IEC 61131-3 programming languages, especially Structured Text (ST). Proven experience developing logic for industrial automation or energy control systems. Familiarity with control system design principles (signal conditioning, interlocks, safety systems). Ability to read and interpret electrical schematics and P&IDs. Skilled in testing and debugging both simulated and live systems. Desirable: Experience with BESS, HVAC controls, or renewable energy systems. Knowledge of networking, routers, firewalls, and industrial communication protocols. Exposure to visualization/HMI platforms (e.g., CODESYS Visualization, Ignition, Wonderware). Experience with Linux-based embedded systems or RTOS. Hands-on experience with WAGO PLCs and edge computers. Knowledge of cloud computing and visualisation tools (e.g., Grafana) Please apply for immedite consideration At Adept Resourcing - Commercial & Engineering, we specialise in connecting companies with top talent that drives innovation, growth and success. With our industry expertise, extensive network and personalised approach, we're here to help you. JBRP1_UKTJ
Insight Investment are looking for a Cyber Defence Specialist to join our Cyber Security team. This role will maintain the Sentinel Threat Detection capability for Insight's bespoke application. The successful candidate will maintain and optimise the Microsoft Sentinel platform, ensuring its stability, performance, and integration with other security tools, as well as developing use cases for the SOC team. Alongside this, the candidate will support the 24/7 SOC team, providing incident response, and continuously improving detection and automation capabilities. Role Responsibilities Maintain and optimise the Microsoft Sentinel environment, including configuration, performance tuning, and health monitoring. Onboard new data sources, connectors, and log streams to support evolving security requirements. Develop and enhance analytics rules, workbooks, and playbooks to improve detection and response. Monitor security alerts alongside the 24/7 SOC team, providing advanced analysis and escalation support. Troubleshoot and resolve issues related to Sentinel ingestion, queries, and automation workflows. Collaborate with SOC analysts, application and development teams, security engineers and security architects to ensure effective threat detection and incident response. Document configurations, processes, and improvements for operational continuity. Experience Required Experience developing, testing and implementing use cases/detection rules for the Sentinel SIEM environment. Strong hands on experience with Microsoft Sentinel and related Azure security services. Proficiency in Kusto Query Language (KQL) for creating and tuning detection rules and dashboards. Solid understanding of SIEM concepts, log ingestion, and security monitoring practices. Experience with incident response workflows and SOC operations. Ability to work collaboratively with development, engineering and monitoring teams. Excellent problem solving and communication skills. Advantageous Experience with SOAR automation and playbook development. Familiarity with cloud security monitoring across Azure, AWS, or GCP. Knowledge of MITRE ATT&CK framework and threat detection methodologies. Exposure to compliance driven environments and security best practices. Relevant certifications (e.g., SC-200, AZ-500, Security+). About Insight Investment Insight Investment is a leading asset manager focused on designing investment solutions to meet its clients' needs. Founded in 2002, Insight's collaborative approach has delivered both investment performance and growth in assets under management. Insight manages assets across its core liability driven investment, risk management, full spectre fixed income, currency and absolute return capabilities. Insight has a global network of operations in the UK, Ireland, Germany, US, Japan and Australia. More information about Insight Investment can be found at:
Dec 05, 2025
Full time
Insight Investment are looking for a Cyber Defence Specialist to join our Cyber Security team. This role will maintain the Sentinel Threat Detection capability for Insight's bespoke application. The successful candidate will maintain and optimise the Microsoft Sentinel platform, ensuring its stability, performance, and integration with other security tools, as well as developing use cases for the SOC team. Alongside this, the candidate will support the 24/7 SOC team, providing incident response, and continuously improving detection and automation capabilities. Role Responsibilities Maintain and optimise the Microsoft Sentinel environment, including configuration, performance tuning, and health monitoring. Onboard new data sources, connectors, and log streams to support evolving security requirements. Develop and enhance analytics rules, workbooks, and playbooks to improve detection and response. Monitor security alerts alongside the 24/7 SOC team, providing advanced analysis and escalation support. Troubleshoot and resolve issues related to Sentinel ingestion, queries, and automation workflows. Collaborate with SOC analysts, application and development teams, security engineers and security architects to ensure effective threat detection and incident response. Document configurations, processes, and improvements for operational continuity. Experience Required Experience developing, testing and implementing use cases/detection rules for the Sentinel SIEM environment. Strong hands on experience with Microsoft Sentinel and related Azure security services. Proficiency in Kusto Query Language (KQL) for creating and tuning detection rules and dashboards. Solid understanding of SIEM concepts, log ingestion, and security monitoring practices. Experience with incident response workflows and SOC operations. Ability to work collaboratively with development, engineering and monitoring teams. Excellent problem solving and communication skills. Advantageous Experience with SOAR automation and playbook development. Familiarity with cloud security monitoring across Azure, AWS, or GCP. Knowledge of MITRE ATT&CK framework and threat detection methodologies. Exposure to compliance driven environments and security best practices. Relevant certifications (e.g., SC-200, AZ-500, Security+). About Insight Investment Insight Investment is a leading asset manager focused on designing investment solutions to meet its clients' needs. Founded in 2002, Insight's collaborative approach has delivered both investment performance and growth in assets under management. Insight manages assets across its core liability driven investment, risk management, full spectre fixed income, currency and absolute return capabilities. Insight has a global network of operations in the UK, Ireland, Germany, US, Japan and Australia. More information about Insight Investment can be found at:
Overview Description Cyber Defence Analyst Location: Farnborough on a 12-hour, 4 days on, 4 days off day and night shift pattern The Role: Leidos, a Global IT Solutions Provider specialising in large scale implementations involving science, engineering, and technology, requires a Cyber Defence Analyst to work in its CSOC (Cyber Security Operations Centre) Team. The Cyber Defence Analyst will contribute to the growth and development of the CSOC. You will work with a wide variety of stakeholders to ensure the Leidos CSOC, a Defensive Cyber Security capability, can support a customer's Cyber Resilience, protecting them with a 24 x 7 Threat Detection and Response service, mitigating risk of Cyber Attack. The successful candidate will have CSOC experience or demonstrate sufficient transferable Cyber Security knowledge, qualifications, aptitude, and passion to quickly learn the Cyber Defence Analyst role. To succeed, the candidate must be capable of working under pressure, delivering on multiple customer accounts, and have an appetite to progress and develop their Cyber Security career. The role operates within a matrix managed environment, reporting operationally to the CSOC Lead and accountable to the CSOC Manager. What will I be doing? Maintain the integrity and security of Cyber Security systems and networks. Support Cyber Security initiatives through predictive and reactive analysis, articulating emerging trends to leadership and staff. Use data from Cyber Defence tools (firewalls, IDS, network traffic, UEBA, SOAR, etc.) to analyse events within the environment. Respond to and correlate alerts from detective and preventative tools such as SIEM, EPP, EDR, XDR, WAF, and Firewalls. Proactively detect suspicious activity, vulnerabilities, and misconfigurations before they can be exploited, protecting Confidentiality, Integrity and Availability. Inspect and correlate logs from multiple sources to identify repeating patterns and Indicators of Compromise (IOC). Continuously scan the Threat Horizon to classify Threats by impact on a client's network or solution. Engage with security communities to review and share knowledge on IOC's and Threats. Follow and develop the Cyber Security Incident Response Process and Playbooks. Apply Cyber Security Incident Prioritisation Criteria to classify and rate Incidents. Define and coordinate countermeasures to mitigate Threats and support action plans in response to Incidents. Ensure confidentiality and discretion when dealing with multiple clients. Run Vulnerability Assessment tools to measure compliance with security updates and patches. Contribute as a team member performing multiple daily CSOC checks to detect and respond to suspicious activity or alerts. Record events and actions during a Cyber Security Incident accurately to enable effective handovers during shift changes. Continuously improve CSOC processes and collaborate with stakeholders from other technology stacks to contribute to Incident Response. Perform tabletop scenarios and derive lessons learned. What does Leidos need from me? Experience of Sentinel, Microsoft XDR and Microsoft Defender variants, and Elastic Security, or aptitude to learn SIEM technologies. Experience and knowledge of SIEM tools, Cyber Security Incident Response, Vulnerability Management and Cyber Threat Intelligence. Experience investigating Cyber Security incidents and supporting root cause analysis or transferable skills to learn and excel. Understanding of the Confidentiality, Integrity, and Availability (CIA) triad. Understanding of trends for malware, ransomware and Advanced Persistent Threats affecting Cloud and On-Premises solutions. Experience working within Change Controlled environments. Understanding of the OSI 7 network layers and TCP/IP networking. Understanding of network and boundary protection controls for Cloud and On-Premises solutions, including Firewalls, ACLs, NSGs, DDoS Protection, VPNs, Mail Gateways, Web Proxies, Load Balancers, WAFs, IPS, and IDS. Communication and Soft Skills Good verbal and written communication for handovers, reports, and documenting events during Incidents. Positive, collaborative, and self-motivated with the ability to work with minimal supervision. Ability to build strong relationships with customers and internal stakeholders. Analytical thinking with the ability to plan and remediate problems. Ability to track market trends and stay at the forefront of Cyber Security Technology. Ability to manage multiple work streams, prioritise, and escalate as necessary. Initiative-taker with the drive to see solutions through to completion. Agility and flexibility to cover shifts at short notice to ensure CSOC protection for customers. Desirable Exposure to working on or within HM Government classified systems or programs. Clearance Requirements Due to the nature of the work, candidates must be British and non-dual nationals. Candidates must be eligible to hold DV clearance. Clearance to start role: SC. What we do for you At Leidos we are passionate about customer success, united as a team and inspired to make a difference. We offer meaningful and engaging careers, a collaborative culture, and support for your career goals, while nurturing a healthy work-life balance. We provide an employment package that attracts, develops and retains top talent. Our reward scheme includes: contributory pension, private medical insurance, 33 days annual leave (including holidays), and access to Flexible benefits (life assurance, health schemes, gym memberships, annual buy/sell holidays, cycle to work). Flexi-Time Working Commitment to Diversity We welcome applications from all parts of the community and are committed to a diverse and inclusive culture. If you have a disability or need reasonable adjustments during the application and selection stages, please let us know and we will respond appropriately. Who We Are Leidos UK & Europe - we work to make the world safer, healthier, and more efficient through technology, engineering and science. Leidos is a growing company delivering innovative technology and solutions focused on safeguarding critical capabilities and transformation in defence, healthcare, government, safety and security, and transportation. What Makes Us Different: Purpose, Collaboration, and People. We offer flexible work arrangements to enable you to perform your role effectively, whether from home, office, or customer sites. Come break things (in a good way). Then build them smarter. We solve high-stakes problems with code and a healthy disregard for "how it's always been done." Pay Range £36,700.00 - £45,900.00
Dec 05, 2025
Full time
Overview Description Cyber Defence Analyst Location: Farnborough on a 12-hour, 4 days on, 4 days off day and night shift pattern The Role: Leidos, a Global IT Solutions Provider specialising in large scale implementations involving science, engineering, and technology, requires a Cyber Defence Analyst to work in its CSOC (Cyber Security Operations Centre) Team. The Cyber Defence Analyst will contribute to the growth and development of the CSOC. You will work with a wide variety of stakeholders to ensure the Leidos CSOC, a Defensive Cyber Security capability, can support a customer's Cyber Resilience, protecting them with a 24 x 7 Threat Detection and Response service, mitigating risk of Cyber Attack. The successful candidate will have CSOC experience or demonstrate sufficient transferable Cyber Security knowledge, qualifications, aptitude, and passion to quickly learn the Cyber Defence Analyst role. To succeed, the candidate must be capable of working under pressure, delivering on multiple customer accounts, and have an appetite to progress and develop their Cyber Security career. The role operates within a matrix managed environment, reporting operationally to the CSOC Lead and accountable to the CSOC Manager. What will I be doing? Maintain the integrity and security of Cyber Security systems and networks. Support Cyber Security initiatives through predictive and reactive analysis, articulating emerging trends to leadership and staff. Use data from Cyber Defence tools (firewalls, IDS, network traffic, UEBA, SOAR, etc.) to analyse events within the environment. Respond to and correlate alerts from detective and preventative tools such as SIEM, EPP, EDR, XDR, WAF, and Firewalls. Proactively detect suspicious activity, vulnerabilities, and misconfigurations before they can be exploited, protecting Confidentiality, Integrity and Availability. Inspect and correlate logs from multiple sources to identify repeating patterns and Indicators of Compromise (IOC). Continuously scan the Threat Horizon to classify Threats by impact on a client's network or solution. Engage with security communities to review and share knowledge on IOC's and Threats. Follow and develop the Cyber Security Incident Response Process and Playbooks. Apply Cyber Security Incident Prioritisation Criteria to classify and rate Incidents. Define and coordinate countermeasures to mitigate Threats and support action plans in response to Incidents. Ensure confidentiality and discretion when dealing with multiple clients. Run Vulnerability Assessment tools to measure compliance with security updates and patches. Contribute as a team member performing multiple daily CSOC checks to detect and respond to suspicious activity or alerts. Record events and actions during a Cyber Security Incident accurately to enable effective handovers during shift changes. Continuously improve CSOC processes and collaborate with stakeholders from other technology stacks to contribute to Incident Response. Perform tabletop scenarios and derive lessons learned. What does Leidos need from me? Experience of Sentinel, Microsoft XDR and Microsoft Defender variants, and Elastic Security, or aptitude to learn SIEM technologies. Experience and knowledge of SIEM tools, Cyber Security Incident Response, Vulnerability Management and Cyber Threat Intelligence. Experience investigating Cyber Security incidents and supporting root cause analysis or transferable skills to learn and excel. Understanding of the Confidentiality, Integrity, and Availability (CIA) triad. Understanding of trends for malware, ransomware and Advanced Persistent Threats affecting Cloud and On-Premises solutions. Experience working within Change Controlled environments. Understanding of the OSI 7 network layers and TCP/IP networking. Understanding of network and boundary protection controls for Cloud and On-Premises solutions, including Firewalls, ACLs, NSGs, DDoS Protection, VPNs, Mail Gateways, Web Proxies, Load Balancers, WAFs, IPS, and IDS. Communication and Soft Skills Good verbal and written communication for handovers, reports, and documenting events during Incidents. Positive, collaborative, and self-motivated with the ability to work with minimal supervision. Ability to build strong relationships with customers and internal stakeholders. Analytical thinking with the ability to plan and remediate problems. Ability to track market trends and stay at the forefront of Cyber Security Technology. Ability to manage multiple work streams, prioritise, and escalate as necessary. Initiative-taker with the drive to see solutions through to completion. Agility and flexibility to cover shifts at short notice to ensure CSOC protection for customers. Desirable Exposure to working on or within HM Government classified systems or programs. Clearance Requirements Due to the nature of the work, candidates must be British and non-dual nationals. Candidates must be eligible to hold DV clearance. Clearance to start role: SC. What we do for you At Leidos we are passionate about customer success, united as a team and inspired to make a difference. We offer meaningful and engaging careers, a collaborative culture, and support for your career goals, while nurturing a healthy work-life balance. We provide an employment package that attracts, develops and retains top talent. Our reward scheme includes: contributory pension, private medical insurance, 33 days annual leave (including holidays), and access to Flexible benefits (life assurance, health schemes, gym memberships, annual buy/sell holidays, cycle to work). Flexi-Time Working Commitment to Diversity We welcome applications from all parts of the community and are committed to a diverse and inclusive culture. If you have a disability or need reasonable adjustments during the application and selection stages, please let us know and we will respond appropriately. Who We Are Leidos UK & Europe - we work to make the world safer, healthier, and more efficient through technology, engineering and science. Leidos is a growing company delivering innovative technology and solutions focused on safeguarding critical capabilities and transformation in defence, healthcare, government, safety and security, and transportation. What Makes Us Different: Purpose, Collaboration, and People. We offer flexible work arrangements to enable you to perform your role effectively, whether from home, office, or customer sites. Come break things (in a good way). Then build them smarter. We solve high-stakes problems with code and a healthy disregard for "how it's always been done." Pay Range £36,700.00 - £45,900.00
About this Position: The Diagnostics Engineer (Outside IR35) will lead the creation, testing and delivery of automated vehicle update packs. Acting as the main technical contact for all update-related issues, the role coordinates investigations, ensures system compatibility, and supports regulatory compliance across engineering and vehicle update functions. Job Responsibilities: Create and validate automated update scripts, working with project teams and control boards to define requirements and ensure baseline compatibility. Produce test, Beta and Final update packs and conduct validation on fleet vehicles. Analyse update results, resolve integration issues and support roll-out readiness. Perform Flamingo traces, support ZDC development and assist engineers with coding and diagnostic issues. Provide technical support to internal teams and administer Flamingo system access. Ensure updates meet UNECE and internal compliance standards. Experience Required: Strong background in electrical diagnostics and integrated vehicle systems. Experience with tools such as VAG diagnostics, CANalyzer/CANoe, dataloggers and vehicle configuration systems. Understanding of PR coding, ZDC structures, UNECE 155/156 and version management processes. Skilled in problem-solving and use of engineering diagnostic equipment. Degree-level engineering qualification; German language and Chartered status advantageous.
Dec 05, 2025
Contractor
About this Position: The Diagnostics Engineer (Outside IR35) will lead the creation, testing and delivery of automated vehicle update packs. Acting as the main technical contact for all update-related issues, the role coordinates investigations, ensures system compatibility, and supports regulatory compliance across engineering and vehicle update functions. Job Responsibilities: Create and validate automated update scripts, working with project teams and control boards to define requirements and ensure baseline compatibility. Produce test, Beta and Final update packs and conduct validation on fleet vehicles. Analyse update results, resolve integration issues and support roll-out readiness. Perform Flamingo traces, support ZDC development and assist engineers with coding and diagnostic issues. Provide technical support to internal teams and administer Flamingo system access. Ensure updates meet UNECE and internal compliance standards. Experience Required: Strong background in electrical diagnostics and integrated vehicle systems. Experience with tools such as VAG diagnostics, CANalyzer/CANoe, dataloggers and vehicle configuration systems. Understanding of PR coding, ZDC structures, UNECE 155/156 and version management processes. Skilled in problem-solving and use of engineering diagnostic equipment. Degree-level engineering qualification; German language and Chartered status advantageous.
Janes empowers military, government, and defence leaders to act with confidence in an increasingly complex world. Our trusted defence, security, and geopolitical information delivered through seamless digital platforms and system integrations-turns overwhelming data into clear, actionable intelligence and insight. By filling critical information gaps, Janes helps customers analyse threats, accelerate decisions, and stay ahead of emerging challenges Job purpose: The Solutions Engineer is a critical member of the Product team, responsible for enabling the integration and optimization of Janes interconnected intelligence capabilities across the NATO enterprise. Working closely with the global Product Solutions team, you will support the deployment, configuration, and enhancement of Janes data across a variety of systems, platforms, and mission critical capabilities. This role focuses on enabling Janes data within individual systems, facilitating interoperability with third party and customer datasets, and aligning with NATO's evolving AI, intelligence and data requirements. You will help define and document data standards, technical specifications, and integration pathways to improve customer outcomes and drive innovation. You will contribute to high impact customer and partner projects across the Alliance, helping shape the future of Janes technical estate-including our graph knowledge base, intelligence products, and supporting infrastructure. Your work will have a direct impact on national security and defence capability. How you will contribute at Janes: System Integration: Support the integration of Janes data and models into customer systems of record, ensuring seamless functionality and operational relevance. Product Delivery: Assist in the development and delivery of Janes Interconnected Intelligence products, ensuring compliance with NATO standards and technical expectations. Customer Advisory: Provide remote and on site technical advisory services to customers, offering guidance on data attributes, integration strategies, and system optimisation. Documentation & Standards: Collate, analyse, assess and summarise current and emerging NATO documentation related to data models, ontologies, governance, processing, and third party integration. Ontology & Model Development: Advise on the evolution of Janes data models and ontologies to ensure compatibility and seamless integration with NATO and other customer ecosystems. Gap Analysis: Identify and prioritise unmet data requirements critical to NATO adoption and mission success. Strategic Expansion: Explore adjacent content and data domains for potential expansion-either organically or via acquisition-to deepen Janes relevance and support for NATO missions. Market Intelligence: Monitor and report on partner and competitor activity within NATO to identify opportunities for collaboration, expansion, or risk mitigation. Account Development: Share insights on how the NATO relationship can be leveraged to unlock opportunities in partner nations. Identify and help remove barriers to expansion. Presales & Bids: Support technical presales engagements, bid responses, and proposal submissions with subject matter expertise and solution design input. The ideal skills and experience for this role are: Domain Expertise: Proven experience in data integration, system interoperability, and technical advisory roles-ideally within defence and intelligence sectors. Strong understanding of data models, ontologies, and standards relevant to NATO and allied environments. Experience working with national security and defence organisations. Citizenship of a NATO nation with the ability to obtain a security clearance. Technical Proficiency: Proficient in Python, including SDKs, APIs, and data engineering best practices. Solid understanding of the Software Development Lifecycle (SDLC). Experience with graph databases (e.g., Neo4j, ArangoDB, GraphDB). Familiarity with at least two of the following technologies: ArcGIS, Palantir, RDBMS, Graph Databases. Education & Communication: Bachelor's degree in Computer Science, Information Technology, or equivalent work experience. Excellent presentation and communication skills, with the ability to engage technical and non technical stakeholders. Comfortable working in small, agile, and globally distributed teams. Knowledge of the Intelligence cycle and ability to understand NATO intelligence requirements and priorities. Mindset & Approach: Strategic thinker with a passion for solving complex technical challenges. Innovative, dynamic, and creative approach to problem solving. Willingness to learn, grow, and adapt in a fast paced environment. Front End & Web Technologies: Back End & Infrastructure: Experience with Docker, Elasticsearch, or Palantir. Hands on experience with cloud platforms and services, particularly AWS (EC2, Lambda, S3, etc.). Background in backend or frontend architecture and development. Experience with Python modules such as ArcPy and ArcGIS API for Python. Relevant experience using Esri technology and the full ArcGIS Enterprise suite, including ArcGIS Knowledge. Security & Clearance: An active security clearance is a strong advantage; however, the ability and willingness to obtain one is essential. 27 days of annual leave Healthy half (0.5 day leave every 6 months for wellbeing) Private medical insurance - BUPA Maternity (100% of basic salary for the first 26 weeks followed by Statutory Maternity Pay) Paternity (100% of basic salary for 6 weeks) Life cover Access to LinkedIn Learning Access to an on site gym Life at Janes We believe Janes is truly a great place to work. Our values and leadership code drive everything we do, and we understand that the right behaviours and culture will always result in the best outcomes for our customers, our colleagues, our shareholders, and our business. We provide a supportive, stretching, and dynamic environment with the ability for you to grow rapidly, both personally and professionally. Janes is an inclusive and equal opportunities employer and encourages applications regardless of age, race, disability, religion / belief, sexual orientation, gender reassignment, marriage or civil partnership, pregnancy/maternity, or gender. Although this role is advertised as full time, Janes believed that flexibility at work can provide many significant benefits both to our colleagues and the business. We already work in a hybrid style across all offices and regions and can support different ways of working and offer different flexible working arrangements. So, if you are interested and have any requirements or needs in the way you would like to work, please apply, and speak to us about this. We will always consider part time or flexible applications.
Dec 05, 2025
Full time
Janes empowers military, government, and defence leaders to act with confidence in an increasingly complex world. Our trusted defence, security, and geopolitical information delivered through seamless digital platforms and system integrations-turns overwhelming data into clear, actionable intelligence and insight. By filling critical information gaps, Janes helps customers analyse threats, accelerate decisions, and stay ahead of emerging challenges Job purpose: The Solutions Engineer is a critical member of the Product team, responsible for enabling the integration and optimization of Janes interconnected intelligence capabilities across the NATO enterprise. Working closely with the global Product Solutions team, you will support the deployment, configuration, and enhancement of Janes data across a variety of systems, platforms, and mission critical capabilities. This role focuses on enabling Janes data within individual systems, facilitating interoperability with third party and customer datasets, and aligning with NATO's evolving AI, intelligence and data requirements. You will help define and document data standards, technical specifications, and integration pathways to improve customer outcomes and drive innovation. You will contribute to high impact customer and partner projects across the Alliance, helping shape the future of Janes technical estate-including our graph knowledge base, intelligence products, and supporting infrastructure. Your work will have a direct impact on national security and defence capability. How you will contribute at Janes: System Integration: Support the integration of Janes data and models into customer systems of record, ensuring seamless functionality and operational relevance. Product Delivery: Assist in the development and delivery of Janes Interconnected Intelligence products, ensuring compliance with NATO standards and technical expectations. Customer Advisory: Provide remote and on site technical advisory services to customers, offering guidance on data attributes, integration strategies, and system optimisation. Documentation & Standards: Collate, analyse, assess and summarise current and emerging NATO documentation related to data models, ontologies, governance, processing, and third party integration. Ontology & Model Development: Advise on the evolution of Janes data models and ontologies to ensure compatibility and seamless integration with NATO and other customer ecosystems. Gap Analysis: Identify and prioritise unmet data requirements critical to NATO adoption and mission success. Strategic Expansion: Explore adjacent content and data domains for potential expansion-either organically or via acquisition-to deepen Janes relevance and support for NATO missions. Market Intelligence: Monitor and report on partner and competitor activity within NATO to identify opportunities for collaboration, expansion, or risk mitigation. Account Development: Share insights on how the NATO relationship can be leveraged to unlock opportunities in partner nations. Identify and help remove barriers to expansion. Presales & Bids: Support technical presales engagements, bid responses, and proposal submissions with subject matter expertise and solution design input. The ideal skills and experience for this role are: Domain Expertise: Proven experience in data integration, system interoperability, and technical advisory roles-ideally within defence and intelligence sectors. Strong understanding of data models, ontologies, and standards relevant to NATO and allied environments. Experience working with national security and defence organisations. Citizenship of a NATO nation with the ability to obtain a security clearance. Technical Proficiency: Proficient in Python, including SDKs, APIs, and data engineering best practices. Solid understanding of the Software Development Lifecycle (SDLC). Experience with graph databases (e.g., Neo4j, ArangoDB, GraphDB). Familiarity with at least two of the following technologies: ArcGIS, Palantir, RDBMS, Graph Databases. Education & Communication: Bachelor's degree in Computer Science, Information Technology, or equivalent work experience. Excellent presentation and communication skills, with the ability to engage technical and non technical stakeholders. Comfortable working in small, agile, and globally distributed teams. Knowledge of the Intelligence cycle and ability to understand NATO intelligence requirements and priorities. Mindset & Approach: Strategic thinker with a passion for solving complex technical challenges. Innovative, dynamic, and creative approach to problem solving. Willingness to learn, grow, and adapt in a fast paced environment. Front End & Web Technologies: Back End & Infrastructure: Experience with Docker, Elasticsearch, or Palantir. Hands on experience with cloud platforms and services, particularly AWS (EC2, Lambda, S3, etc.). Background in backend or frontend architecture and development. Experience with Python modules such as ArcPy and ArcGIS API for Python. Relevant experience using Esri technology and the full ArcGIS Enterprise suite, including ArcGIS Knowledge. Security & Clearance: An active security clearance is a strong advantage; however, the ability and willingness to obtain one is essential. 27 days of annual leave Healthy half (0.5 day leave every 6 months for wellbeing) Private medical insurance - BUPA Maternity (100% of basic salary for the first 26 weeks followed by Statutory Maternity Pay) Paternity (100% of basic salary for 6 weeks) Life cover Access to LinkedIn Learning Access to an on site gym Life at Janes We believe Janes is truly a great place to work. Our values and leadership code drive everything we do, and we understand that the right behaviours and culture will always result in the best outcomes for our customers, our colleagues, our shareholders, and our business. We provide a supportive, stretching, and dynamic environment with the ability for you to grow rapidly, both personally and professionally. Janes is an inclusive and equal opportunities employer and encourages applications regardless of age, race, disability, religion / belief, sexual orientation, gender reassignment, marriage or civil partnership, pregnancy/maternity, or gender. Although this role is advertised as full time, Janes believed that flexibility at work can provide many significant benefits both to our colleagues and the business. We already work in a hybrid style across all offices and regions and can support different ways of working and offer different flexible working arrangements. So, if you are interested and have any requirements or needs in the way you would like to work, please apply, and speak to us about this. We will always consider part time or flexible applications.
QuEST Global Engineering Limited
Bristol, Somerset
Job Requirements Quest Global is an organization at the forefront of innovation and one of the worlds fastest growing engineering services firms with deep domain knowledge and recognized expertise in the top OEMs across seven industries. We are a twenty-five-year-old company on a journey to becoming a centenary one, driven by aspiration, hunger and humility click apply for full job details
Dec 05, 2025
Full time
Job Requirements Quest Global is an organization at the forefront of innovation and one of the worlds fastest growing engineering services firms with deep domain knowledge and recognized expertise in the top OEMs across seven industries. We are a twenty-five-year-old company on a journey to becoming a centenary one, driven by aspiration, hunger and humility click apply for full job details
On behalf of our client, we are seeking to recruit a Technical Software Documentation Officer on an initial 12 - month contract. As the Technical Software Documentation Officer, you will support multiple development teams in project management and software delivery. Role: Technical Software Documentation Officer Pay: 65 per hour Via Umbrella Location: Bristol Contract: Monday- Friday, 37 Hours per week,12 Months Contract IR35 Status: Inside Security Clearance : Security Cleared to start, UK Eyes only Responsibilities Providing software input and configuration management Supporting multiple programmes Directly interfacing with software engineers and project leaders Supporting internal customer teams, such as Test and lab custodians Supporting delivery of Integration deliverables Essential Experience Experince ins MS Office - Word, Excel etc Documentation Experince Experience of software process in high reliability, safety or regulated sectors Experience of Software Engineering Lifecycle Knowledge of Project Management principles If you are interested in applying for this position and you meet the requirements, please send your updated CV to: Natalie Dalkin at Line Up Aviation Line Up Aviation has carved its own place in the recruitment of Aviation and Aerospace personnel all over the world for more than 30 years. We work with some of the industry's best known companies who demand the highest standard of applicants. " on Twitter for all of our latest vacancies, news and pictures from our busy UK Head Office. Interact with us using the tag at anytime! Thank you for your follow!"
Dec 05, 2025
Contractor
On behalf of our client, we are seeking to recruit a Technical Software Documentation Officer on an initial 12 - month contract. As the Technical Software Documentation Officer, you will support multiple development teams in project management and software delivery. Role: Technical Software Documentation Officer Pay: 65 per hour Via Umbrella Location: Bristol Contract: Monday- Friday, 37 Hours per week,12 Months Contract IR35 Status: Inside Security Clearance : Security Cleared to start, UK Eyes only Responsibilities Providing software input and configuration management Supporting multiple programmes Directly interfacing with software engineers and project leaders Supporting internal customer teams, such as Test and lab custodians Supporting delivery of Integration deliverables Essential Experience Experince ins MS Office - Word, Excel etc Documentation Experince Experience of software process in high reliability, safety or regulated sectors Experience of Software Engineering Lifecycle Knowledge of Project Management principles If you are interested in applying for this position and you meet the requirements, please send your updated CV to: Natalie Dalkin at Line Up Aviation Line Up Aviation has carved its own place in the recruitment of Aviation and Aerospace personnel all over the world for more than 30 years. We work with some of the industry's best known companies who demand the highest standard of applicants. " on Twitter for all of our latest vacancies, news and pictures from our busy UK Head Office. Interact with us using the tag at anytime! Thank you for your follow!"
Engineering Project Manager 12 Month Contract Based in Stevenage - Hybrid Offering 60ph Inside IR35 Are you an experienced Project Manager? Do you have experience managing electronic products? Do you want to work with an industry-leading company? If your answers are yes to these, then this could be the role for you! As the Engineering Project Manager, you will be working alongside a market-leading Defence and Aerospace company who are constantly growing and developing. They are always looking to bring on new talents such as yourself and further develop your skills to enable you to grow within the company and industry! Some of what you will be involved in: Deliver complex and priority projects to time, cost, and quality Drive the design, development, and delivery of complex electronic sub-systems, from concept through into full production Your skillset may include: Experience in Project Management best practice: Risk Management, Stakeholder Management, Compliance Management, Configuration Management, and Earned Value Management Experience delivering project/programmes on time and to cost Experience of project management across the various phases; from project conception and initiation through manufacture and qualification to customer delivery A background in engineering development, ideally of complex systems or electronic units. If this all sounds like something you will be interested in then simply apply and we can discuss the opportunity further! Engineering Project Manager 12 Month Contract Based in Stevenage - Hybrid Offering 60ph Inside IR35 Disclaimer: This vacancy is being advertised by either Advanced Resource Managers Limited, Advanced Resource Managers IT Limited or Advanced Resource Managers Engineering Limited ("ARM"). ARM is a specialist talent acquisition and management consultancy. We provide technical contingency recruitment and a portfolio of more complex resource solutions. Our specialist recruitment divisions cover the entire technical arena, including some of the most economically and strategically important industries in the UK and the world today. We will never send your CV without your permission. Where the role is marked as Outside IR35 in the advertisement this is subject to receipt of a final Status Determination Statement from the end Client and may be subject to change.
Dec 05, 2025
Contractor
Engineering Project Manager 12 Month Contract Based in Stevenage - Hybrid Offering 60ph Inside IR35 Are you an experienced Project Manager? Do you have experience managing electronic products? Do you want to work with an industry-leading company? If your answers are yes to these, then this could be the role for you! As the Engineering Project Manager, you will be working alongside a market-leading Defence and Aerospace company who are constantly growing and developing. They are always looking to bring on new talents such as yourself and further develop your skills to enable you to grow within the company and industry! Some of what you will be involved in: Deliver complex and priority projects to time, cost, and quality Drive the design, development, and delivery of complex electronic sub-systems, from concept through into full production Your skillset may include: Experience in Project Management best practice: Risk Management, Stakeholder Management, Compliance Management, Configuration Management, and Earned Value Management Experience delivering project/programmes on time and to cost Experience of project management across the various phases; from project conception and initiation through manufacture and qualification to customer delivery A background in engineering development, ideally of complex systems or electronic units. If this all sounds like something you will be interested in then simply apply and we can discuss the opportunity further! Engineering Project Manager 12 Month Contract Based in Stevenage - Hybrid Offering 60ph Inside IR35 Disclaimer: This vacancy is being advertised by either Advanced Resource Managers Limited, Advanced Resource Managers IT Limited or Advanced Resource Managers Engineering Limited ("ARM"). ARM is a specialist talent acquisition and management consultancy. We provide technical contingency recruitment and a portfolio of more complex resource solutions. Our specialist recruitment divisions cover the entire technical arena, including some of the most economically and strategically important industries in the UK and the world today. We will never send your CV without your permission. Where the role is marked as Outside IR35 in the advertisement this is subject to receipt of a final Status Determination Statement from the end Client and may be subject to change.
Manufacturing Engineer 12 Month Contract Based in Bolton Offering 35ph Inside IR35 Are you an experienced Manufacturing Engineer? Do you hold an Apprenticeship and NVQ/SVQ Level 3? Are you experienced with SAP? Are you looking to work with an industry-leading company? If your answers are yes to these, then this could be the role for! As the Manufacturing Engineer, you will be working alongside a market-leading defence and Aerospace company who are constantly growing and developing. They are always looking to bring on new talents such as yourself and further develop your skills to enable you to grow within the company and industry. You will be involved in: Compilation and update of Manufacturing Instructions. Design, Commissioning, and proving of associated tooling. Support the industrial validation process through the use of FMEA's, FAI's etc. Resolution of technical problems that may arise during the manufacturing process. Route card changes as a result or rework activities. Management of Configuration Control by the embodiment of Design Modifications into the Manufacturing Instructions. Support to the Non-Conformance Process using SAP. Your skillset may include: Formal technical Engineering/Craft Apprenticeship to NVQ Level 3/TR23/TR21, with a Formal further education certificate to HNC or equivalent in a relevant subject SAP experience The ability to interpret Design Drawings with a view to producing Manufacturing Build Instructions Experience in Electronic subassembly manufacture through to System level builds Experience and knowledge of the assembly process for small/miniature Electro-Mechanical Actuation Systems Manual handling training. ESD training. If this all sounds like something you will be interested in then simply apply and we can discuss the opportunity further! Manufacturing Engineer 12 Month Contract Based in Bolton Offering 35ph Inside IR35 Disclaimer: This vacancy is being advertised by either Advanced Resource Managers Limited, Advanced Resource Managers IT Limited or Advanced Resource Managers Engineering Limited ("ARM"). ARM is a specialist talent acquisition and management consultancy. We provide technical contingency recruitment and a portfolio of more complex resource solutions. Our specialist recruitment divisions cover the entire technical arena, including some of the most economically and strategically important industries in the UK and the world today. We will never send your CV without your permission. Where the role is marked as Outside IR35 in the advertisement this is subject to receipt of a final Status Determination Statement from the end Client and may be subject to change.
Dec 05, 2025
Contractor
Manufacturing Engineer 12 Month Contract Based in Bolton Offering 35ph Inside IR35 Are you an experienced Manufacturing Engineer? Do you hold an Apprenticeship and NVQ/SVQ Level 3? Are you experienced with SAP? Are you looking to work with an industry-leading company? If your answers are yes to these, then this could be the role for! As the Manufacturing Engineer, you will be working alongside a market-leading defence and Aerospace company who are constantly growing and developing. They are always looking to bring on new talents such as yourself and further develop your skills to enable you to grow within the company and industry. You will be involved in: Compilation and update of Manufacturing Instructions. Design, Commissioning, and proving of associated tooling. Support the industrial validation process through the use of FMEA's, FAI's etc. Resolution of technical problems that may arise during the manufacturing process. Route card changes as a result or rework activities. Management of Configuration Control by the embodiment of Design Modifications into the Manufacturing Instructions. Support to the Non-Conformance Process using SAP. Your skillset may include: Formal technical Engineering/Craft Apprenticeship to NVQ Level 3/TR23/TR21, with a Formal further education certificate to HNC or equivalent in a relevant subject SAP experience The ability to interpret Design Drawings with a view to producing Manufacturing Build Instructions Experience in Electronic subassembly manufacture through to System level builds Experience and knowledge of the assembly process for small/miniature Electro-Mechanical Actuation Systems Manual handling training. ESD training. If this all sounds like something you will be interested in then simply apply and we can discuss the opportunity further! Manufacturing Engineer 12 Month Contract Based in Bolton Offering 35ph Inside IR35 Disclaimer: This vacancy is being advertised by either Advanced Resource Managers Limited, Advanced Resource Managers IT Limited or Advanced Resource Managers Engineering Limited ("ARM"). ARM is a specialist talent acquisition and management consultancy. We provide technical contingency recruitment and a portfolio of more complex resource solutions. Our specialist recruitment divisions cover the entire technical arena, including some of the most economically and strategically important industries in the UK and the world today. We will never send your CV without your permission. Where the role is marked as Outside IR35 in the advertisement this is subject to receipt of a final Status Determination Statement from the end Client and may be subject to change.
FPGA Design Engineer We are seeking an experienced FPGA Design and Verification Engineer to join a dynamic team working on a diverse portfolio of complex FPGA projects, including advanced signal processing, embedded systems, and safety-critical applications. Key Responsibilities: Design and implement FPGA architectures using VHDL, Simulink, targeting Xilinx, Intel, or Microsemi devices. Verify FPGA designs using VHDL and SystemVerilog/UVM methodologies. Utilize FPGA toolsets and Mentor verification tools (QuestaSim, ModelSim). Develop low-level C software for FPGA testing and integration. Produce high-quality documentation and configuration. Collaborate within a multidisciplinary team to deliver complex projects. Required Skills & Experience: Degree or equivalent in a relevant discipline. 4+ years of FPGA development experience. Strong VHDL design and verification skills. Proficiency with SystemVerilog and UVM. Hands-on experience with Xilinx, Intel, or Microsemi FPGAs. Ability to work effectively in large, cross-functional teams. Guidant, Carbon60, Lorien & SRG - The Impellam Group Portfolio are acting as an Employment Business in relation to this vacancy.
Dec 05, 2025
Contractor
FPGA Design Engineer We are seeking an experienced FPGA Design and Verification Engineer to join a dynamic team working on a diverse portfolio of complex FPGA projects, including advanced signal processing, embedded systems, and safety-critical applications. Key Responsibilities: Design and implement FPGA architectures using VHDL, Simulink, targeting Xilinx, Intel, or Microsemi devices. Verify FPGA designs using VHDL and SystemVerilog/UVM methodologies. Utilize FPGA toolsets and Mentor verification tools (QuestaSim, ModelSim). Develop low-level C software for FPGA testing and integration. Produce high-quality documentation and configuration. Collaborate within a multidisciplinary team to deliver complex projects. Required Skills & Experience: Degree or equivalent in a relevant discipline. 4+ years of FPGA development experience. Strong VHDL design and verification skills. Proficiency with SystemVerilog and UVM. Hands-on experience with Xilinx, Intel, or Microsemi FPGAs. Ability to work effectively in large, cross-functional teams. Guidant, Carbon60, Lorien & SRG - The Impellam Group Portfolio are acting as an Employment Business in relation to this vacancy.
Our client BAE Systems, a leading player in the Defence & Security sector, is currently seeking a skilled PCB Design Engineer to join their team on a contract basis. The position involves remote work with occasional site visits to the site in Broad Oak, Portsmouth as required. Key Responsibilities: Designing and developing printed circuit boards (PCBs) for use in various defence and security applications Utilising the Cadence Allegro toolset to create efficient PCB designs Collaborating with cross-functional teams to ensure design requirements are met Testing and troubleshooting PCB designs to ensure functionality and compliance with industry standards Participating in design reviews and providing input to improve designs and processes Documenting design specifications and ensuring proper configuration management Job Requirements: Proficient experience with the Cadence Allegro toolset Strong understanding of PCB design principles and best practices Ability to work effectively in a remote setting with occasional site visits Experience in the defence and security sector is desirable Excellent communication and teamwork skills BPSS + SC in Flight security clearance, subject to ITAR requirements Contract Details: Duration: 6 months Location: Broadoak (Hybrid - remote with site visits as required) Inside IR35 If you are a talented PCB Design Engineer looking for an exciting contract opportunity in the Defence & Security sector, we would love to hear from you. Apply now to join our client's dedicated team and contribute to high-impact projects.
Dec 05, 2025
Contractor
Our client BAE Systems, a leading player in the Defence & Security sector, is currently seeking a skilled PCB Design Engineer to join their team on a contract basis. The position involves remote work with occasional site visits to the site in Broad Oak, Portsmouth as required. Key Responsibilities: Designing and developing printed circuit boards (PCBs) for use in various defence and security applications Utilising the Cadence Allegro toolset to create efficient PCB designs Collaborating with cross-functional teams to ensure design requirements are met Testing and troubleshooting PCB designs to ensure functionality and compliance with industry standards Participating in design reviews and providing input to improve designs and processes Documenting design specifications and ensuring proper configuration management Job Requirements: Proficient experience with the Cadence Allegro toolset Strong understanding of PCB design principles and best practices Ability to work effectively in a remote setting with occasional site visits Experience in the defence and security sector is desirable Excellent communication and teamwork skills BPSS + SC in Flight security clearance, subject to ITAR requirements Contract Details: Duration: 6 months Location: Broadoak (Hybrid - remote with site visits as required) Inside IR35 If you are a talented PCB Design Engineer looking for an exciting contract opportunity in the Defence & Security sector, we would love to hear from you. Apply now to join our client's dedicated team and contribute to high-impact projects.
Job Title: Principal Software Engineer Location: Great Baddow - We offer flexible working arrangements - Part time & accruing hours available. Please let us know if you would like to discuss these options Salary: Up to £75,900 (dependent on skills and experience) What you'll be doing: Derive and maintain a set of software requirements from a baseline set of systems requirements Produce and maintain a software design to meet a set of software requirements Use a software design to produce a deliverable software solution Undertake peer reviews or checking/verification of peers' solutions across Maritime Services Undertake technical reviews, verify and authorise software engineering designs Act as an Engineering technical lead for a software solution at a sub-system level Maintain a software solution to address reported defects and/or add new functionality Support the development of individuals and teams to enhance skills and competencies Produce or assist in the creation of software estimates for sub-systems Your skills and experiences: Good experience of using at least one high level programming language Considerable experience of using a high-level design methodology - knowledge of UML Strong experience of all aspects of the software lifecycle and good knowledge of software lifecycle models (e.g. Waterfall, Agile) Have a BEng/BSc in Computer Science or other relevant Engineering or Numerate subject (technology, engineering or science related discipline) Have good knowledge of appropriate software standards (e.g. ISO/IEC/IEE 12207) Knowledge of Ada95 Have a CEng or equivalent level of capability or working towards CEng status Have a comprehensive understanding of configuration management and experience of a configuration management tool An understanding of model-based techniques is advantageous Benefits As well as a competitive pension scheme, BAE Systems also offers employee share plans, an extensive range of flexible discounted health, wellbeing and lifestyle benefits, including a green car scheme, private health plans and shopping discounts - you may also be eligible for an annual incentive. The Sampson Radar Software team: The Sampson Radar Software team is a 30 plus personnel group that provides support and capability upgrades to the Royal Navy and their products. This role will deliver software products to the customer as part of a team delivering enhancements over the next 10 years, as well as providing ongoing support to existing products. You will have the opportunity to develop and enhance your skills and knowledge, with the potential to lead into more senior positions within a global business Why BAE Systems? This is a place where you'll be able to make a real difference. You'll be part of an inclusive culture that values diversity of thought, rewards integrity, and merit, and where you'll be empowered to fulfil your potential. We welcome people from all backgrounds and want to make sure that our recruitment processes are as inclusive as possible. If you have a disability or health condition (for example dyslexia, autism, an anxiety disorder etc .) that may affect your performance in certain assessment types, please speak to your recruiter about potential reasonable adjustments. Please be aware that many roles at BAE Systems are subject to both security and export control restrictions. These restrictions mean that factors such as your nationality, any nationalities you may have previously held, and your place of birth can restrict the roles you are eligible to perform within the organisation. All applicants must as a minimum achieve Baseline Personnel Security Standard. Many roles also require higher levels of National Security Vetting where applicants must typically have 5 to 10 years of continuous residency in the UK depending on the vetting level required for the role , to allow for meaningful security vetting checks. Closing Date 10th December 2025 We reserve the right to close this vacancy early if we receive sufficient applications for the role . Therefore, if you are interested, please submit your application as early as possible.
Dec 05, 2025
Full time
Job Title: Principal Software Engineer Location: Great Baddow - We offer flexible working arrangements - Part time & accruing hours available. Please let us know if you would like to discuss these options Salary: Up to £75,900 (dependent on skills and experience) What you'll be doing: Derive and maintain a set of software requirements from a baseline set of systems requirements Produce and maintain a software design to meet a set of software requirements Use a software design to produce a deliverable software solution Undertake peer reviews or checking/verification of peers' solutions across Maritime Services Undertake technical reviews, verify and authorise software engineering designs Act as an Engineering technical lead for a software solution at a sub-system level Maintain a software solution to address reported defects and/or add new functionality Support the development of individuals and teams to enhance skills and competencies Produce or assist in the creation of software estimates for sub-systems Your skills and experiences: Good experience of using at least one high level programming language Considerable experience of using a high-level design methodology - knowledge of UML Strong experience of all aspects of the software lifecycle and good knowledge of software lifecycle models (e.g. Waterfall, Agile) Have a BEng/BSc in Computer Science or other relevant Engineering or Numerate subject (technology, engineering or science related discipline) Have good knowledge of appropriate software standards (e.g. ISO/IEC/IEE 12207) Knowledge of Ada95 Have a CEng or equivalent level of capability or working towards CEng status Have a comprehensive understanding of configuration management and experience of a configuration management tool An understanding of model-based techniques is advantageous Benefits As well as a competitive pension scheme, BAE Systems also offers employee share plans, an extensive range of flexible discounted health, wellbeing and lifestyle benefits, including a green car scheme, private health plans and shopping discounts - you may also be eligible for an annual incentive. The Sampson Radar Software team: The Sampson Radar Software team is a 30 plus personnel group that provides support and capability upgrades to the Royal Navy and their products. This role will deliver software products to the customer as part of a team delivering enhancements over the next 10 years, as well as providing ongoing support to existing products. You will have the opportunity to develop and enhance your skills and knowledge, with the potential to lead into more senior positions within a global business Why BAE Systems? This is a place where you'll be able to make a real difference. You'll be part of an inclusive culture that values diversity of thought, rewards integrity, and merit, and where you'll be empowered to fulfil your potential. We welcome people from all backgrounds and want to make sure that our recruitment processes are as inclusive as possible. If you have a disability or health condition (for example dyslexia, autism, an anxiety disorder etc .) that may affect your performance in certain assessment types, please speak to your recruiter about potential reasonable adjustments. Please be aware that many roles at BAE Systems are subject to both security and export control restrictions. These restrictions mean that factors such as your nationality, any nationalities you may have previously held, and your place of birth can restrict the roles you are eligible to perform within the organisation. All applicants must as a minimum achieve Baseline Personnel Security Standard. Many roles also require higher levels of National Security Vetting where applicants must typically have 5 to 10 years of continuous residency in the UK depending on the vetting level required for the role , to allow for meaningful security vetting checks. Closing Date 10th December 2025 We reserve the right to close this vacancy early if we receive sufficient applications for the role . Therefore, if you are interested, please submit your application as early as possible.
About the Role: We are seeking a highly motivated and detail-oriented Configuration Controller to join our Radar & Naval Solutions business unit. This is an exciting opportunity for someone with a strong technical background who thrives on ensuring quality and precision in the management of engineering data. The successful candidate will play a pivotal role in controlling, releasing, and maintaining technical data within our ERP system, supporting projects from proposal through to delivery. Key Responsibilities: Administer the daily release and change control of technical data within the ERP system, including engineering part structures, bills of materials, drawings, documents, and software. Manage end-to-end change and deviation request processes. Serve as the technical focal point for ERP-controlled documents and parts, including change requests, deviations, build standards, and engineering revisions. Develop and maintain Configuration Management processes, procedures, and ERP configuration control, providing guidance and training as required. Maintain ERP document data such as approval templates, document classes, and numbering. Optimize ERP system functionality to improve efficiency and cleanse legacy data. Produce configuration plans and submissions for new contract proposals and project lifecycle support. Maintain software version control and repository, attending release meetings as needed. Support compliance with ISO 9001:2015, TUV SUD Marine Equipment Directive (MED) Module D, and other relevant standards. Contribute to ERP system improvements, upgrades, and testing projects. Support QMS audit programs and other related tasks. Required Skills and Experience: Strong technical understanding of engineering part structures and document configuration control. Experience in configuration management or control, preferably within defense, MoD, or similar industries. Experience with ERP systems (IFS Cloud Applications 11, SAP 4 HANA, or similar). Knowledge of ISO 9001:2015 Quality Management Systems; auditor experience is desirable. Excellent document creation and Microsoft Office skills (Word, Excel, PowerPoint, Visio). Strong organisational, communication, and problem-solving skills. Fluent English, both written and verbal. Desirable Attributes: Hands-on, pragmatic approach with attention to detail. Ability to influence and engage stakeholders across functions. Project management experience. What We Offer: A dynamic and supportive working environment. Opportunities to contribute to cutting-edge maritime navigation and surveillance projects. Professional development and training in configuration management and quality systems.
Dec 05, 2025
Full time
About the Role: We are seeking a highly motivated and detail-oriented Configuration Controller to join our Radar & Naval Solutions business unit. This is an exciting opportunity for someone with a strong technical background who thrives on ensuring quality and precision in the management of engineering data. The successful candidate will play a pivotal role in controlling, releasing, and maintaining technical data within our ERP system, supporting projects from proposal through to delivery. Key Responsibilities: Administer the daily release and change control of technical data within the ERP system, including engineering part structures, bills of materials, drawings, documents, and software. Manage end-to-end change and deviation request processes. Serve as the technical focal point for ERP-controlled documents and parts, including change requests, deviations, build standards, and engineering revisions. Develop and maintain Configuration Management processes, procedures, and ERP configuration control, providing guidance and training as required. Maintain ERP document data such as approval templates, document classes, and numbering. Optimize ERP system functionality to improve efficiency and cleanse legacy data. Produce configuration plans and submissions for new contract proposals and project lifecycle support. Maintain software version control and repository, attending release meetings as needed. Support compliance with ISO 9001:2015, TUV SUD Marine Equipment Directive (MED) Module D, and other relevant standards. Contribute to ERP system improvements, upgrades, and testing projects. Support QMS audit programs and other related tasks. Required Skills and Experience: Strong technical understanding of engineering part structures and document configuration control. Experience in configuration management or control, preferably within defense, MoD, or similar industries. Experience with ERP systems (IFS Cloud Applications 11, SAP 4 HANA, or similar). Knowledge of ISO 9001:2015 Quality Management Systems; auditor experience is desirable. Excellent document creation and Microsoft Office skills (Word, Excel, PowerPoint, Visio). Strong organisational, communication, and problem-solving skills. Fluent English, both written and verbal. Desirable Attributes: Hands-on, pragmatic approach with attention to detail. Ability to influence and engage stakeholders across functions. Project management experience. What We Offer: A dynamic and supportive working environment. Opportunities to contribute to cutting-edge maritime navigation and surveillance projects. Professional development and training in configuration management and quality systems.
Job Title: SC Cleared FPGA Development Engineer Duration: 6 months with possible extension Location: Hybrid/Malvern Rate: Up to 600 per day based on experience and via an approved umbrella company Role Description Join a team of talented Engineers developing FPGA solutions across a range of technology areas including Radio Frequency/Optical communications, RADAR/Electronic Warfare, Global Navigation Satellite Systems, and Cyber/Crypto-Security with projects spanning all levels of technical maturity from proof-of-concept demonstrators to full production systems. Key responsibilities Take ownership of the development and delivery of complex FPGA designs. This will include, reporting, requirements capture, architectural and detailed design, implementation, verification and validation. Essential Experience A proven track record developing complex FPGA based systems, including design, implementation, verification and validation. Ability to collaborate with domain experts to develop a conceptual solution (typically captured as a system model), and translate it into an efficient FPGA implementation. Ability to specify and configure System on Chip (Soc) designs, work with soft and hard core CPUs (e.g. Zynq, Microblaze, ARM, RISC-V etc), develop and integrate peripherals, work with standard buses and interconnect (e.g. AXI, AHB wishbone etc) and specify software interfaces. Desirable skills/experience VHDL/Verilog/System Verilog MPSoC/RFSoC or equivalent Embedded Linux e.g. Yocto/Peta Verification frameworks e.g UVM/OSVVM Embedded software development in C, C++ or similar Digital Signal Processing System modelling e.g. Matlab/Python/Numpy/Scipy Agile/Scrum Configuration control, Continuous Integration and Continuous Development etc Domain expertise in a relevant technology area Technical/Team leadership If this is the role for you please submit your CV at your earliest convenience
Dec 05, 2025
Contractor
Job Title: SC Cleared FPGA Development Engineer Duration: 6 months with possible extension Location: Hybrid/Malvern Rate: Up to 600 per day based on experience and via an approved umbrella company Role Description Join a team of talented Engineers developing FPGA solutions across a range of technology areas including Radio Frequency/Optical communications, RADAR/Electronic Warfare, Global Navigation Satellite Systems, and Cyber/Crypto-Security with projects spanning all levels of technical maturity from proof-of-concept demonstrators to full production systems. Key responsibilities Take ownership of the development and delivery of complex FPGA designs. This will include, reporting, requirements capture, architectural and detailed design, implementation, verification and validation. Essential Experience A proven track record developing complex FPGA based systems, including design, implementation, verification and validation. Ability to collaborate with domain experts to develop a conceptual solution (typically captured as a system model), and translate it into an efficient FPGA implementation. Ability to specify and configure System on Chip (Soc) designs, work with soft and hard core CPUs (e.g. Zynq, Microblaze, ARM, RISC-V etc), develop and integrate peripherals, work with standard buses and interconnect (e.g. AXI, AHB wishbone etc) and specify software interfaces. Desirable skills/experience VHDL/Verilog/System Verilog MPSoC/RFSoC or equivalent Embedded Linux e.g. Yocto/Peta Verification frameworks e.g UVM/OSVVM Embedded software development in C, C++ or similar Digital Signal Processing System modelling e.g. Matlab/Python/Numpy/Scipy Agile/Scrum Configuration control, Continuous Integration and Continuous Development etc Domain expertise in a relevant technology area Technical/Team leadership If this is the role for you please submit your CV at your earliest convenience
Job Title: Principal Software Engineer Location: Great Baddow - We offer flexible working arrangements - Part time & accruing hours available. Please let us know if you would like to discuss these options Salary: Up to £75,900 (dependent on skills and experience) What you'll be doing: Derive and maintain a set of software requirements from a baseline set of systems requirements Produce and maintain a software design to meet a set of software requirements Use a software design to produce a deliverable software solution Undertake peer reviews or checking/verification of peers' solutions across Maritime Services Undertake technical reviews, verify and authorise software engineering designs Act as an Engineering technical lead for a software solution at a sub-system level Maintain a software solution to address reported defects and/or add new functionality Support the development of individuals and teams to enhance skills and competencies Produce or assist in the creation of software estimates for sub-systems Your skills and experiences: Good experience of using at least one high level programming language Considerable experience of using a high-level design methodology - knowledge of UML Strong experience of all aspects of the software lifecycle and good knowledge of software lifecycle models (e.g. Waterfall, Agile) Have a BEng/BSc in Computer Science or other relevant Engineering or Numerate subject (technology, engineering or science related discipline) Have good knowledge of appropriate software standards (e.g. ISO/IEC/IEE 12207) Knowledge of Ada95 Have a CEng or equivalent level of capability or working towards CEng status Have a comprehensive understanding of configuration management and experience of a configuration management tool An understanding of model-based techniques is advantageous Benefits As well as a competitive pension scheme, BAE Systems also offers employee share plans, an extensive range of flexible discounted health, wellbeing and lifestyle benefits, including a green car scheme, private health plans and shopping discounts - you may also be eligible for an annual incentive. The Sampson Radar Software team: The Sampson Radar Software team is a 30 plus personnel group that provides support and capability upgrades to the Royal Navy and their products. This role will deliver software products to the customer as part of a team delivering enhancements over the next 10 years, as well as providing ongoing support to existing products. You will have the opportunity to develop and enhance your skills and knowledge, with the potential to lead into more senior positions within a global business Why BAE Systems? This is a place where you'll be able to make a real difference. You'll be part of an inclusive culture that values diversity of thought, rewards integrity, and merit, and where you'll be empowered to fulfil your potential. We welcome people from all backgrounds and want to make sure that our recruitment processes are as inclusive as possible. If you have a disability or health condition (for example dyslexia, autism, an anxiety disorder etc .) that may affect your performance in certain assessment types, please speak to your recruiter about potential reasonable adjustments. Please be aware that many roles at BAE Systems are subject to both security and export control restrictions. These restrictions mean that factors such as your nationality, any nationalities you may have previously held, and your place of birth can restrict the roles you are eligible to perform within the organisation. All applicants must as a minimum achieve Baseline Personnel Security Standard. Many roles also require higher levels of National Security Vetting where applicants must typically have 5 to 10 years of continuous residency in the UK depending on the vetting level required for the role , to allow for meaningful security vetting checks. Closing Date 10th December 2025 We reserve the right to close this vacancy early if we receive sufficient applications for the role . Therefore, if you are interested, please submit your application as early as possible.
Dec 05, 2025
Full time
Job Title: Principal Software Engineer Location: Great Baddow - We offer flexible working arrangements - Part time & accruing hours available. Please let us know if you would like to discuss these options Salary: Up to £75,900 (dependent on skills and experience) What you'll be doing: Derive and maintain a set of software requirements from a baseline set of systems requirements Produce and maintain a software design to meet a set of software requirements Use a software design to produce a deliverable software solution Undertake peer reviews or checking/verification of peers' solutions across Maritime Services Undertake technical reviews, verify and authorise software engineering designs Act as an Engineering technical lead for a software solution at a sub-system level Maintain a software solution to address reported defects and/or add new functionality Support the development of individuals and teams to enhance skills and competencies Produce or assist in the creation of software estimates for sub-systems Your skills and experiences: Good experience of using at least one high level programming language Considerable experience of using a high-level design methodology - knowledge of UML Strong experience of all aspects of the software lifecycle and good knowledge of software lifecycle models (e.g. Waterfall, Agile) Have a BEng/BSc in Computer Science or other relevant Engineering or Numerate subject (technology, engineering or science related discipline) Have good knowledge of appropriate software standards (e.g. ISO/IEC/IEE 12207) Knowledge of Ada95 Have a CEng or equivalent level of capability or working towards CEng status Have a comprehensive understanding of configuration management and experience of a configuration management tool An understanding of model-based techniques is advantageous Benefits As well as a competitive pension scheme, BAE Systems also offers employee share plans, an extensive range of flexible discounted health, wellbeing and lifestyle benefits, including a green car scheme, private health plans and shopping discounts - you may also be eligible for an annual incentive. The Sampson Radar Software team: The Sampson Radar Software team is a 30 plus personnel group that provides support and capability upgrades to the Royal Navy and their products. This role will deliver software products to the customer as part of a team delivering enhancements over the next 10 years, as well as providing ongoing support to existing products. You will have the opportunity to develop and enhance your skills and knowledge, with the potential to lead into more senior positions within a global business Why BAE Systems? This is a place where you'll be able to make a real difference. You'll be part of an inclusive culture that values diversity of thought, rewards integrity, and merit, and where you'll be empowered to fulfil your potential. We welcome people from all backgrounds and want to make sure that our recruitment processes are as inclusive as possible. If you have a disability or health condition (for example dyslexia, autism, an anxiety disorder etc .) that may affect your performance in certain assessment types, please speak to your recruiter about potential reasonable adjustments. Please be aware that many roles at BAE Systems are subject to both security and export control restrictions. These restrictions mean that factors such as your nationality, any nationalities you may have previously held, and your place of birth can restrict the roles you are eligible to perform within the organisation. All applicants must as a minimum achieve Baseline Personnel Security Standard. Many roles also require higher levels of National Security Vetting where applicants must typically have 5 to 10 years of continuous residency in the UK depending on the vetting level required for the role , to allow for meaningful security vetting checks. Closing Date 10th December 2025 We reserve the right to close this vacancy early if we receive sufficient applications for the role . Therefore, if you are interested, please submit your application as early as possible.
Job Title: Principal Software Engineer Location: Great Baddow - We offer flexible working arrangements - Part time & accruing hours available. Please let us know if you would like to discuss these options Salary: Up to £75,900 (dependent on skills and experience) What you'll be doing: Derive and maintain a set of software requirements from a baseline set of systems requirements Produce and maintain a software design to meet a set of software requirements Use a software design to produce a deliverable software solution Undertake peer reviews or checking/verification of peers' solutions across Maritime Services Undertake technical reviews, verify and authorise software engineering designs Act as an Engineering technical lead for a software solution at a sub-system level Maintain a software solution to address reported defects and/or add new functionality Support the development of individuals and teams to enhance skills and competencies Produce or assist in the creation of software estimates for sub-systems Your skills and experiences: Good experience of using at least one high level programming language Considerable experience of using a high-level design methodology - knowledge of UML Strong experience of all aspects of the software lifecycle and good knowledge of software lifecycle models (e.g. Waterfall, Agile) Have a BEng/BSc in Computer Science or other relevant Engineering or Numerate subject (technology, engineering or science related discipline) Have good knowledge of appropriate software standards (e.g. ISO/IEC/IEE 12207) Knowledge of Ada95 Have a CEng or equivalent level of capability or working towards CEng status Have a comprehensive understanding of configuration management and experience of a configuration management tool An understanding of model-based techniques is advantageous Benefits As well as a competitive pension scheme, BAE Systems also offers employee share plans, an extensive range of flexible discounted health, wellbeing and lifestyle benefits, including a green car scheme, private health plans and shopping discounts - you may also be eligible for an annual incentive. The Sampson Radar Software team: The Sampson Radar Software team is a 30 plus personnel group that provides support and capability upgrades to the Royal Navy and their products. This role will deliver software products to the customer as part of a team delivering enhancements over the next 10 years, as well as providing ongoing support to existing products. You will have the opportunity to develop and enhance your skills and knowledge, with the potential to lead into more senior positions within a global business Why BAE Systems? This is a place where you'll be able to make a real difference. You'll be part of an inclusive culture that values diversity of thought, rewards integrity, and merit, and where you'll be empowered to fulfil your potential. We welcome people from all backgrounds and want to make sure that our recruitment processes are as inclusive as possible. If you have a disability or health condition (for example dyslexia, autism, an anxiety disorder etc .) that may affect your performance in certain assessment types, please speak to your recruiter about potential reasonable adjustments. Please be aware that many roles at BAE Systems are subject to both security and export control restrictions. These restrictions mean that factors such as your nationality, any nationalities you may have previously held, and your place of birth can restrict the roles you are eligible to perform within the organisation. All applicants must as a minimum achieve Baseline Personnel Security Standard. Many roles also require higher levels of National Security Vetting where applicants must typically have 5 to 10 years of continuous residency in the UK depending on the vetting level required for the role , to allow for meaningful security vetting checks. Closing Date 10th December 2025 We reserve the right to close this vacancy early if we receive sufficient applications for the role . Therefore, if you are interested, please submit your application as early as possible.
Dec 05, 2025
Full time
Job Title: Principal Software Engineer Location: Great Baddow - We offer flexible working arrangements - Part time & accruing hours available. Please let us know if you would like to discuss these options Salary: Up to £75,900 (dependent on skills and experience) What you'll be doing: Derive and maintain a set of software requirements from a baseline set of systems requirements Produce and maintain a software design to meet a set of software requirements Use a software design to produce a deliverable software solution Undertake peer reviews or checking/verification of peers' solutions across Maritime Services Undertake technical reviews, verify and authorise software engineering designs Act as an Engineering technical lead for a software solution at a sub-system level Maintain a software solution to address reported defects and/or add new functionality Support the development of individuals and teams to enhance skills and competencies Produce or assist in the creation of software estimates for sub-systems Your skills and experiences: Good experience of using at least one high level programming language Considerable experience of using a high-level design methodology - knowledge of UML Strong experience of all aspects of the software lifecycle and good knowledge of software lifecycle models (e.g. Waterfall, Agile) Have a BEng/BSc in Computer Science or other relevant Engineering or Numerate subject (technology, engineering or science related discipline) Have good knowledge of appropriate software standards (e.g. ISO/IEC/IEE 12207) Knowledge of Ada95 Have a CEng or equivalent level of capability or working towards CEng status Have a comprehensive understanding of configuration management and experience of a configuration management tool An understanding of model-based techniques is advantageous Benefits As well as a competitive pension scheme, BAE Systems also offers employee share plans, an extensive range of flexible discounted health, wellbeing and lifestyle benefits, including a green car scheme, private health plans and shopping discounts - you may also be eligible for an annual incentive. The Sampson Radar Software team: The Sampson Radar Software team is a 30 plus personnel group that provides support and capability upgrades to the Royal Navy and their products. This role will deliver software products to the customer as part of a team delivering enhancements over the next 10 years, as well as providing ongoing support to existing products. You will have the opportunity to develop and enhance your skills and knowledge, with the potential to lead into more senior positions within a global business Why BAE Systems? This is a place where you'll be able to make a real difference. You'll be part of an inclusive culture that values diversity of thought, rewards integrity, and merit, and where you'll be empowered to fulfil your potential. We welcome people from all backgrounds and want to make sure that our recruitment processes are as inclusive as possible. If you have a disability or health condition (for example dyslexia, autism, an anxiety disorder etc .) that may affect your performance in certain assessment types, please speak to your recruiter about potential reasonable adjustments. Please be aware that many roles at BAE Systems are subject to both security and export control restrictions. These restrictions mean that factors such as your nationality, any nationalities you may have previously held, and your place of birth can restrict the roles you are eligible to perform within the organisation. All applicants must as a minimum achieve Baseline Personnel Security Standard. Many roles also require higher levels of National Security Vetting where applicants must typically have 5 to 10 years of continuous residency in the UK depending on the vetting level required for the role , to allow for meaningful security vetting checks. Closing Date 10th December 2025 We reserve the right to close this vacancy early if we receive sufficient applications for the role . Therefore, if you are interested, please submit your application as early as possible.
Job Title: Principal Software Engineer Location: Great Baddow - We offer flexible working arrangements - Part time & accruing hours available. Please let us know if you would like to discuss these options Salary: Up to £75,900 (dependent on skills and experience) What you'll be doing: Derive and maintain a set of software requirements from a baseline set of systems requirements Produce and maintain a software design to meet a set of software requirements Use a software design to produce a deliverable software solution Undertake peer reviews or checking/verification of peers' solutions across Maritime Services Undertake technical reviews, verify and authorise software engineering designs Act as an Engineering technical lead for a software solution at a sub-system level Maintain a software solution to address reported defects and/or add new functionality Support the development of individuals and teams to enhance skills and competencies Produce or assist in the creation of software estimates for sub-systems Your skills and experiences: Good experience of using at least one high level programming language Considerable experience of using a high-level design methodology - knowledge of UML Strong experience of all aspects of the software lifecycle and good knowledge of software lifecycle models (e.g. Waterfall, Agile) Have a BEng/BSc in Computer Science or other relevant Engineering or Numerate subject (technology, engineering or science related discipline) Have good knowledge of appropriate software standards (e.g. ISO/IEC/IEE 12207) Knowledge of Ada95 Have a CEng or equivalent level of capability or working towards CEng status Have a comprehensive understanding of configuration management and experience of a configuration management tool An understanding of model-based techniques is advantageous Benefits As well as a competitive pension scheme, BAE Systems also offers employee share plans, an extensive range of flexible discounted health, wellbeing and lifestyle benefits, including a green car scheme, private health plans and shopping discounts - you may also be eligible for an annual incentive. The Sampson Radar Software team: The Sampson Radar Software team is a 30 plus personnel group that provides support and capability upgrades to the Royal Navy and their products. This role will deliver software products to the customer as part of a team delivering enhancements over the next 10 years, as well as providing ongoing support to existing products. You will have the opportunity to develop and enhance your skills and knowledge, with the potential to lead into more senior positions within a global business Why BAE Systems? This is a place where you'll be able to make a real difference. You'll be part of an inclusive culture that values diversity of thought, rewards integrity, and merit, and where you'll be empowered to fulfil your potential. We welcome people from all backgrounds and want to make sure that our recruitment processes are as inclusive as possible. If you have a disability or health condition (for example dyslexia, autism, an anxiety disorder etc .) that may affect your performance in certain assessment types, please speak to your recruiter about potential reasonable adjustments. Please be aware that many roles at BAE Systems are subject to both security and export control restrictions. These restrictions mean that factors such as your nationality, any nationalities you may have previously held, and your place of birth can restrict the roles you are eligible to perform within the organisation. All applicants must as a minimum achieve Baseline Personnel Security Standard. Many roles also require higher levels of National Security Vetting where applicants must typically have 5 to 10 years of continuous residency in the UK depending on the vetting level required for the role , to allow for meaningful security vetting checks. Closing Date 10th December 2025 We reserve the right to close this vacancy early if we receive sufficient applications for the role . Therefore, if you are interested, please submit your application as early as possible.
Dec 05, 2025
Full time
Job Title: Principal Software Engineer Location: Great Baddow - We offer flexible working arrangements - Part time & accruing hours available. Please let us know if you would like to discuss these options Salary: Up to £75,900 (dependent on skills and experience) What you'll be doing: Derive and maintain a set of software requirements from a baseline set of systems requirements Produce and maintain a software design to meet a set of software requirements Use a software design to produce a deliverable software solution Undertake peer reviews or checking/verification of peers' solutions across Maritime Services Undertake technical reviews, verify and authorise software engineering designs Act as an Engineering technical lead for a software solution at a sub-system level Maintain a software solution to address reported defects and/or add new functionality Support the development of individuals and teams to enhance skills and competencies Produce or assist in the creation of software estimates for sub-systems Your skills and experiences: Good experience of using at least one high level programming language Considerable experience of using a high-level design methodology - knowledge of UML Strong experience of all aspects of the software lifecycle and good knowledge of software lifecycle models (e.g. Waterfall, Agile) Have a BEng/BSc in Computer Science or other relevant Engineering or Numerate subject (technology, engineering or science related discipline) Have good knowledge of appropriate software standards (e.g. ISO/IEC/IEE 12207) Knowledge of Ada95 Have a CEng or equivalent level of capability or working towards CEng status Have a comprehensive understanding of configuration management and experience of a configuration management tool An understanding of model-based techniques is advantageous Benefits As well as a competitive pension scheme, BAE Systems also offers employee share plans, an extensive range of flexible discounted health, wellbeing and lifestyle benefits, including a green car scheme, private health plans and shopping discounts - you may also be eligible for an annual incentive. The Sampson Radar Software team: The Sampson Radar Software team is a 30 plus personnel group that provides support and capability upgrades to the Royal Navy and their products. This role will deliver software products to the customer as part of a team delivering enhancements over the next 10 years, as well as providing ongoing support to existing products. You will have the opportunity to develop and enhance your skills and knowledge, with the potential to lead into more senior positions within a global business Why BAE Systems? This is a place where you'll be able to make a real difference. You'll be part of an inclusive culture that values diversity of thought, rewards integrity, and merit, and where you'll be empowered to fulfil your potential. We welcome people from all backgrounds and want to make sure that our recruitment processes are as inclusive as possible. If you have a disability or health condition (for example dyslexia, autism, an anxiety disorder etc .) that may affect your performance in certain assessment types, please speak to your recruiter about potential reasonable adjustments. Please be aware that many roles at BAE Systems are subject to both security and export control restrictions. These restrictions mean that factors such as your nationality, any nationalities you may have previously held, and your place of birth can restrict the roles you are eligible to perform within the organisation. All applicants must as a minimum achieve Baseline Personnel Security Standard. Many roles also require higher levels of National Security Vetting where applicants must typically have 5 to 10 years of continuous residency in the UK depending on the vetting level required for the role , to allow for meaningful security vetting checks. Closing Date 10th December 2025 We reserve the right to close this vacancy early if we receive sufficient applications for the role . Therefore, if you are interested, please submit your application as early as possible.
Job Title: Principal Software Engineer Location: Great Baddow - We offer flexible working arrangements - Part time & accruing hours available. Please let us know if you would like to discuss these options Salary: Up to £75,900 (dependent on skills and experience) What you'll be doing: Derive and maintain a set of software requirements from a baseline set of systems requirements Produce and maintain a software design to meet a set of software requirements Use a software design to produce a deliverable software solution Undertake peer reviews or checking/verification of peers' solutions across Maritime Services Undertake technical reviews, verify and authorise software engineering designs Act as an Engineering technical lead for a software solution at a sub-system level Maintain a software solution to address reported defects and/or add new functionality Support the development of individuals and teams to enhance skills and competencies Produce or assist in the creation of software estimates for sub-systems Your skills and experiences: Good experience of using at least one high level programming language Considerable experience of using a high-level design methodology - knowledge of UML Strong experience of all aspects of the software lifecycle and good knowledge of software lifecycle models (e.g. Waterfall, Agile) Have a BEng/BSc in Computer Science or other relevant Engineering or Numerate subject (technology, engineering or science related discipline) Have good knowledge of appropriate software standards (e.g. ISO/IEC/IEE 12207) Knowledge of Ada95 Have a CEng or equivalent level of capability or working towards CEng status Have a comprehensive understanding of configuration management and experience of a configuration management tool An understanding of model-based techniques is advantageous Benefits As well as a competitive pension scheme, BAE Systems also offers employee share plans, an extensive range of flexible discounted health, wellbeing and lifestyle benefits, including a green car scheme, private health plans and shopping discounts - you may also be eligible for an annual incentive. The Sampson Radar Software team: The Sampson Radar Software team is a 30 plus personnel group that provides support and capability upgrades to the Royal Navy and their products. This role will deliver software products to the customer as part of a team delivering enhancements over the next 10 years, as well as providing ongoing support to existing products. You will have the opportunity to develop and enhance your skills and knowledge, with the potential to lead into more senior positions within a global business Why BAE Systems? This is a place where you'll be able to make a real difference. You'll be part of an inclusive culture that values diversity of thought, rewards integrity, and merit, and where you'll be empowered to fulfil your potential. We welcome people from all backgrounds and want to make sure that our recruitment processes are as inclusive as possible. If you have a disability or health condition (for example dyslexia, autism, an anxiety disorder etc .) that may affect your performance in certain assessment types, please speak to your recruiter about potential reasonable adjustments. Please be aware that many roles at BAE Systems are subject to both security and export control restrictions. These restrictions mean that factors such as your nationality, any nationalities you may have previously held, and your place of birth can restrict the roles you are eligible to perform within the organisation. All applicants must as a minimum achieve Baseline Personnel Security Standard. Many roles also require higher levels of National Security Vetting where applicants must typically have 5 to 10 years of continuous residency in the UK depending on the vetting level required for the role , to allow for meaningful security vetting checks. Closing Date 10th December 2025 We reserve the right to close this vacancy early if we receive sufficient applications for the role . Therefore, if you are interested, please submit your application as early as possible.
Dec 05, 2025
Full time
Job Title: Principal Software Engineer Location: Great Baddow - We offer flexible working arrangements - Part time & accruing hours available. Please let us know if you would like to discuss these options Salary: Up to £75,900 (dependent on skills and experience) What you'll be doing: Derive and maintain a set of software requirements from a baseline set of systems requirements Produce and maintain a software design to meet a set of software requirements Use a software design to produce a deliverable software solution Undertake peer reviews or checking/verification of peers' solutions across Maritime Services Undertake technical reviews, verify and authorise software engineering designs Act as an Engineering technical lead for a software solution at a sub-system level Maintain a software solution to address reported defects and/or add new functionality Support the development of individuals and teams to enhance skills and competencies Produce or assist in the creation of software estimates for sub-systems Your skills and experiences: Good experience of using at least one high level programming language Considerable experience of using a high-level design methodology - knowledge of UML Strong experience of all aspects of the software lifecycle and good knowledge of software lifecycle models (e.g. Waterfall, Agile) Have a BEng/BSc in Computer Science or other relevant Engineering or Numerate subject (technology, engineering or science related discipline) Have good knowledge of appropriate software standards (e.g. ISO/IEC/IEE 12207) Knowledge of Ada95 Have a CEng or equivalent level of capability or working towards CEng status Have a comprehensive understanding of configuration management and experience of a configuration management tool An understanding of model-based techniques is advantageous Benefits As well as a competitive pension scheme, BAE Systems also offers employee share plans, an extensive range of flexible discounted health, wellbeing and lifestyle benefits, including a green car scheme, private health plans and shopping discounts - you may also be eligible for an annual incentive. The Sampson Radar Software team: The Sampson Radar Software team is a 30 plus personnel group that provides support and capability upgrades to the Royal Navy and their products. This role will deliver software products to the customer as part of a team delivering enhancements over the next 10 years, as well as providing ongoing support to existing products. You will have the opportunity to develop and enhance your skills and knowledge, with the potential to lead into more senior positions within a global business Why BAE Systems? This is a place where you'll be able to make a real difference. You'll be part of an inclusive culture that values diversity of thought, rewards integrity, and merit, and where you'll be empowered to fulfil your potential. We welcome people from all backgrounds and want to make sure that our recruitment processes are as inclusive as possible. If you have a disability or health condition (for example dyslexia, autism, an anxiety disorder etc .) that may affect your performance in certain assessment types, please speak to your recruiter about potential reasonable adjustments. Please be aware that many roles at BAE Systems are subject to both security and export control restrictions. These restrictions mean that factors such as your nationality, any nationalities you may have previously held, and your place of birth can restrict the roles you are eligible to perform within the organisation. All applicants must as a minimum achieve Baseline Personnel Security Standard. Many roles also require higher levels of National Security Vetting where applicants must typically have 5 to 10 years of continuous residency in the UK depending on the vetting level required for the role , to allow for meaningful security vetting checks. Closing Date 10th December 2025 We reserve the right to close this vacancy early if we receive sufficient applications for the role . Therefore, if you are interested, please submit your application as early as possible.